Lihlahisoa

10M08SAU169C8G Ikopanye le tšebeletso ea bareki(21+thekiso ea libaka)

Tlhaloso e Khutšoanyane:

Nomoro ea Karolo ea Boyad: 544-3135-ND
moetsi: Intel
Nomoro ea lihlahisoa tsa moetsi: 10M08SAU169C8G
Tlhaloso: IC FPGA 130 I/O 169UBGA
Tlhaloso e qaqileng: letoto Field Programmable Gate Array (FPGA) IC 130 387072 8000 169-LFBGA
Customer Part Number
Litlhaloso: Litlhaloso


Lintlha tsa Sehlahisoa

Li-tag tsa Sehlahisoa

thepa ea lihlahisoa

MOFUTA HLALISA
sehlopha Potoloho e Kopanetsoeng (IC)
E kenyelelitsoe - FPGA (Field Programmable Gate Array)
moetsi Intel
letoto MAX® 10
Sephutheloana terei
boemo ba sehlahisoa e teng thepeng e teng ka lebenkeleng
Nomoro ea LAB/CLB 500
Palo ea lintlha tsa logic/liyuniti 8000
Kakaretso ea likarolo tsa RAM 387072
I/O palo 130
Voltage - E tsamaisoa 2.85V ~ 3.465V
mofuta oa ho kenya Mofuta oa Mount Mount
Mocheso oa ho sebetsa 0°C ~ 85°C (TJ)
Sephutheloana/Sekoahelo 169-LFBGA
Sephutheloana sa Sesebelisoa sa Bafani 169-UBGA (11x11)

tlaleha phoso
Patlisiso e ncha ea Parametric

Litokomane le Mecha ea litaba

MOSEBETSI MOFUTA LINKI
Litlhaloso MAX 10 FPGA Overview Lethathamo la lintlha tsa MAX 10 FPGA
Li-module tsa koetliso ea lihlahisoa Taolo ea makoloi ea MAX10 e sebelisang FPGA e sa Fokotseng e le 'Ngoe ea Chelete e Tlase  Tsamaiso ea Tsamaiso ea MAX10
Lihlahisoa tse hlahisitsoeng Sethala sa T-CoreEvo M51 Compute Module Hinj™ FPGA Sensor Hub le Development Kit XLR8: Boto ea ntlafatso ea FPGA ea Arduino
Moqapi oa PCN / Tlhaloso Max10 Pin Guide 3/Dec/2021Mult Dev Software Chgs 3/Jun/2021
Sephutheloana sa PCN Mult Dev Label Chgs 24/Feb/2020Mult Dev Label CHG 24/Jan/2020
Litlhaloso tsa HTML MAX 10 FPGA OverviewLethathamo la lintlha tsa MAX 10 FPGA
EDA/CAD ea mohlala 10M08SAU169C8G ka SnapEDA

Tlhophiso ea Tikoloho le Liromello

LITŠOANTŠISO HLALISA
Boemo ba RoHS RoHS e lumellana
Boemo ba Sensitivity ea Mongobo (MSL) 3 (lihora tse 168)
FIHLELA boemo Lihlahisoa tse sa fihlelleheng
ECCN 3A991D
HTSUS 8542.39.0001

Li-multipliers tse kenyelitsoeng le Tšehetso ea Ts'ebetso ea Lipontšo tsa Digital
Ho fihla ho tse 17 tse kentsoeng ka ntle tse sa feleng
bakeng sa lisebelisoa tse le 'ngoe tsa ADC
Analoge e le 'ngoe e inehetseng le lithakhisa tse 16 tsa tšebetso e habeli
Ho fihla ho tse 18 tse kentsoeng ka ntle tse sa feleng
bakeng sa lisebelisoa tse peli tsa ADC
• Analoge e le 'ngoe e inehetseng le lithakhisa tse robeli tse nang le likarolo tse peli sebakeng se seng le se seng sa ADC
• Bokhoni ba ho metha ka nako e le 'ngoe bakeng sa lisebelisoa tse peli tsa ADC
Sensor ea mocheso oa on-chip e lekola tlhahiso ea data ea mocheso kantle ka sekhahla sa sampole ho fihla ho 50
lik'hilograma ka motsotsoana
Memori ea Flash ea basebelisi
Memori ea Flash ea mosebelisi (UFM) thibela lisebelisoa tsa Intel MAX 10 e boloka e sa fetoheng
tlhahisoleseding.
UFM e fana ka tharollo e nepahetseng ea polokelo eo u ka e fihlelang u sebelisa protocol ea Avalon Memory Mapped (Avalon-MM).
Li-multipliers tse kenyelitsoeng le Tšehetso ea Ts'ebetso ea Lipontšo tsa Digital
Lisebelisoa tsa Intel MAX 10 li tšehetsa li-block tse ngata tse kentsoeng tse 144.Sebaka se seng le se seng
e ts'ehetsa motho a le mong ea atisang 18 × 18-bit kapa tse peli tse ngata tsa 9 × 9-bit.
Ka kopanyo ea lisebelisoa tsa on-chip le lihokelo tsa kantle ho Intel MAX 10
lisebelisoa, o ka haha ​​​​litsamaiso tsa DSP tse nang le ts'ebetso e phahameng, litšenyehelo tse tlase tsa sistimi, le tse tlase
tshebediso ya matla.
U ka sebelisa sesebelisoa sa Intel MAX 10 u le mong kapa e le processor ea sesebelisoa sa DSP ho
ntlafatsa likarolelano tsa theko-to-ts'ebetso ea litsamaiso tsa DSP.
O ka laola ts'ebetso ea li-blocks tse kenyellelitsoeng tse ngata o sebelisa tse latelang
dikgetho:
• Hlophisa li-cores tsa IP tse amehang ka mohlophisi oa paramethara ea Intel Quartus Prime
• Infer li-multiplier ka ho toba ka VHDL kapa Verilog HDL
Likarolo tsa moralo oa sistimi tse fanoeng bakeng sa lisebelisoa tsa Intel MAX 10:
• DSP IP cores:
- Mesebetsi e tloaelehileng ea ts'ebetso ea DSP joalo ka karabelo ea impulse impulse (FIR), kapele
Fourier transform (FFT), le mesebetsi ea oscillator e laoloang ke linomoro (NCO).
- Li-Suites tsa mesebetsi e tloaelehileng ea video le litšoantšo
• Qetella meralo ea litšupiso bakeng sa lits'ebetso tsa 'maraka oa ho qetela
• DSP Builder bakeng sa sesebelisoa sa interface sa Intel FPGAs pakeng tsa Intel Quartus Prime
software le tikoloho ea moralo oa MathWorks Simulink le MATLAB
• Lisebelisoa tsa ntlafatso tsa DSP
Mehopolo e Kenyelelitsoeng
Sebopeho sa memori se kenyelelitsoeng se na le likholomo tsa memori ea M9K.M9K e 'ngoe le e 'ngoe
block block ea sesebelisoa sa Intel MAX 10 e fana ka 9 KB ea memori ea on-chip e khonang ho
e sebetsa ho fihlela ho 284 MHz.Sebopeho sa memori se kentsoeng se na le M9K
memori e thibela dikholomo.Sebaka se seng le se seng sa memori sa M9K sa sesebelisoa sa Intel MAX 10 se fana ka
9 KB ea memori ea on-chip.O ka theola li-block tsa memori ho theha bophara kapa botebo
meaho ya kelello.
O ka hlophisa li-block tsa memori tsa M9K joalo ka RAM, FIFO buffers, kapa ROM.
Memori ea sesebelisoa sa Intel MAX 10 e ntlafalitsoe bakeng sa lits'ebetso tse joalo ka holimo
ts'ebetso ea lipakete tsa throughput, lenaneo la processor e kenelletseng, le data e kentsoeng
polokelo.


  • E fetileng:
  • E 'ngoe:

  • Tlohela Molaetsa wa Hao

    Lihlahisoa tse Amanang

    Tlohela Molaetsa wa Hao